Show simple item record

dc.contributor.authorPedro Zapater, Alba
dc.contributor.authorRodríguez Lafuente, Clemente
dc.contributor.authorSegarra, Juan
dc.contributor.authorGran Tejero, Rubén
dc.contributor.authorViñals-Yúfera, Víctor
dc.date.accessioned2020-03-02T19:43:05Z
dc.date.available2020-03-02T19:43:05Z
dc.date.issued2020-02-03
dc.identifier.citationMathematics 8(2) : (2020) // Article ID 184es_ES
dc.identifier.issn2227-7390
dc.identifier.urihttp://hdl.handle.net/10810/41908
dc.description.abstractMatrix transposition is a fundamental operation, but it may present a very low and hardly predictable data cache hit ratio for large matrices. Safe (worst-case) hit ratio predictability is required in real-time systems. In this paper, we obtain the relations among the cache parameters that guarantee the ideal (predictable) data hit ratio assuming a Least-Recently-Used (LRU) data cache. Considering our analytical assessments, we compare a tiling matrix transposition to a cache oblivious algorithm, modified with <i>phantom padding</i> to improve its data hit ratio. Our results show that, with an adequate tile size, the tiling version results in an equal or better data hit ratio. We also analyze the energy consumption and execution time of matrix transposition on real hardware with pseudo-LRU (PLRU) caches. Our analytical hit/miss assessment enables the usage of a data cache for matrix transposition in real-time systems, since the number of misses in the worst case is bound. In general and high-performance computation, our analysis enables us to restrict the cache resources devoted to matrix transposition with no negative impact, in order to reduce both the energy consumption and the pollution to other computations.es_ES
dc.description.sponsorshipThis work was supported by grants FPU14/02463, TIN2016-76635-C2-1-R (AEI/ERDF, EU), Aragón Government (T58_17R research group), and ERDF 2014-2020 <<Construyendo Europa desde Aragón>>.es_ES
dc.language.isoenges_ES
dc.publisherMDPIes_ES
dc.relationinfo:eu-repo/grantAgreement/MINECO/TIN2016-76635-C2-1-Res_ES
dc.relation.urihttp://creativecommons.org/licenses/by/3.0/es/
dc.rightsinfo:eu-repo/semantics/openAccesses_ES
dc.subjecttranspositiones_ES
dc.subjectdata cache memoryes_ES
dc.subjectreal-timees_ES
dc.subjecttilinges_ES
dc.subjectcache obliviouses_ES
dc.titleIdeal and Predictable Hit Ratio for Matrix Transposition in Data Cacheses_ES
dc.typeinfo:eu-repo/semantics/articlees_ES
dc.date.updated2020-03-02T12:40:31Z
dc.rights.holder© 2020 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).es_ES
dc.relation.publisherversionhttps://www.mdpi.com/2227-7390/8/2/184es_ES
dc.identifier.doi10.3390/math8020184
dc.departamentoesArquitectura y Tecnología de Computadoreses_ES
dc.departamentoeuKonputagailuen Arkitektura eta Teknologiaes_ES


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record